VG93 digital ФАПЧ by ZEK

ZX evolution software and hardware

Postby DDp » 14 Sep 2011, 14:57

lvd wrote:Код:  reg [5:0] counter = 0;
...такая запись играет роль только при СИМУЛЯЦИИ... При синтезе квартусом игнорится.

Не знаю как в других местах, но initial на ACEX-е реально работает (делал в T&S так: reg [7:0] covox; initial covox = 8'h7f; - первоначальный уровень был именно таким, какой указан в квартус-проекте)
D356 47C0 35F8 F55E 8A52 A88F F3F8 B003 03EB 3D7F
DDp
 
Posts: 103
Joined: 27 Oct 2007, 11:29
Group: Registered users

Postby lvd » 14 Sep 2011, 16:18

DDp wrote:Не знаю как в других местах, но initial на ACEX-е реально работает (делал в T&S так: reg [7:0] covox; initial covox = 8'h7f; - первоначальный уровень был именно таким, какой указан в квартус-проекте)

А потом я опущу галочку в проекте и работать перестанет.
Многого нет здесь: http://lvd.nedopc.com
Image
User avatar
lvd
 
Posts: 1786
Joined: 07 Apr 2007, 22:28
Group: Registered users

Postby DDp » 14 Sep 2011, 17:09

lvd wrote:А потом я опущу галочку в проекте и работать перестанет.

Согласен. (есть такая галочка: Settings|Analysis...|More...|Ignore Verilog initial constructs)
D356 47C0 35F8 F55E 8A52 A88F F3F8 B003 03EB 3D7F
DDp
 
Posts: 103
Joined: 27 Oct 2007, 11:29
Group: Registered users

Postby deathsoft » 14 Sep 2011, 18:37

Начальные значения регистров надо задавать по резету и никак иначе, все остальное - полный ахтунг.
User avatar
deathsoft
 
Posts: 358
Joined: 07 Apr 2007, 01:58
Group: Registered users

Postby CHRV » 14 Sep 2011, 19:33

ZEK wrote:Посмотрел в svn, там инвертирован rdat_n в строке
rawr_sr <= { rawr_sr[2:0], ~rdat_n };
у меня порты модуля по каралечному названы, суффиксы отрицательности сигнала не ставил
в общем модуль ожидает что rdat_n это отрицательные импульсы (какими приходят с дисковода), глянул в схему вроде полярность сигнала не меняется
инверсия лишняя и должно быть
[vg39.v:314]
rawr_sr <= { rawr_sr[2:0], rdat_n };

Пофиксел и перезалил.
Тестируем.
Многое есть здесь: www.nedopc.com
User avatar
CHRV
Желесяка
 
Posts: 966
Joined: 15 Apr 2007, 22:52
Group: Registered users

Postby ZEK » 14 Sep 2011, 20:22

:applause:
Last edited by ZEK on 25 Aug 2020, 15:37, edited 1 time in total.
ZEK
 
Posts: 11
Joined: 13 Sep 2011, 07:16
Group: Registered users

Postby balu_dark » 14 Sep 2011, 21:27

Подтверждаю - последний бин( с индексом 2) не работает .
balu_dark
 
Posts: 8
Joined: 30 Jun 2008, 23:43
Group: Registered users

Postby lvd » 14 Sep 2011, 22:01

ZEK wrote:ога, первый триггер вгоняет в клоковый домен остальные просто задерживают,

Чтобы "вогнать в клоковый домен", надо МИНИМУМ 2 триггера. Если мне не веришь, то вот читай что ольтера пишет: http://www.altera.com/literature/wp/wp- ... bility.pdf
ZEK wrote:а это очень просто
смотри по времени на сдвиговый регистр в момент прихода rddat (каждая строка новый клок 28мгц), регистр двигается влево
rawr_sr = 4'b1111; rawr_sr[3] && !rawr_sr[0] = 0
rawr_sr= 4'b1110; rawr_sr[3] && !rawr_sr[0] = 1
rawr_sr = 4'b1100; rawr_sr[3] && !rawr_sr[0] = 1
rawr_sr= 4'b1000; rawr_sr[3] && !rawr_sr[0] = 1
rawr_sr= 4'b0000; rawr_sr[3] && !rawr_sr[0] = 0

А если rawr будет 1111,1110,1101,1010,0100,1000,0000 ? ЧТо тогда?

ZEK wrote:формирователь rawr длительность 3 такта 28Мгц,

Только вот формирователь до тех пор, пока шумы не словятся.
ZEK wrote:а вот 4 такта мне кажется много,

Можно 2 или 3, не жалко. Только надо подумать, что будет, если будет ловиться шум.
Многого нет здесь: http://lvd.nedopc.com
Image
User avatar
lvd
 
Posts: 1786
Joined: 07 Apr 2007, 22:28
Group: Registered users

Postby CHRV » 14 Sep 2011, 22:55

ZEK wrote:А у тебя работает? Просто единственный общедоступный отзыв о работе модуля в составе пентевы - не работает.

3.5 дисковод, дискета HD с заклееным окном.
Фикшенная версия - пока у меня работает. Запись, чтение через FATAL полного диска прошло без ошибок.
ЧЕстно говоря не знаю что проверять, так как всяких ахтунгсбойныхдискет у меня вообще нет.
А вот господа которые пишут что не работает - надо писать конкретно и четко как не работает...
Многое есть здесь: www.nedopc.com
User avatar
CHRV
Желесяка
 
Posts: 966
Joined: 15 Apr 2007, 22:52
Group: Registered users

Postby balu_dark » 14 Sep 2011, 23:42

Пишем - загружаю FUT и даю ему чек диск. на диске который с другой сборкой работает нормально - с последней имеем первый сектор читается ок - все остальные - показаны красным тоесть в ошибках. Загрузка любой проги с диска что из меню F12 что еще как - приводит к чтению пары секторов а потом к ерзанью головки и выводу месаги аборт, ретрай, игнор.
balu_dark
 
Posts: 8
Joined: 30 Jun 2008, 23:43
Group: Registered users

PreviousNext

Return to Пентева - софт и железо

Who is online

Users browsing this forum: No registered users and 1 guest