Прошивка CPLD (файл GS_cpld.pof) в форматах SVF и JAM

NeoGS software and hardware

Postby perestoronin » 22 Jan 2012, 08:01

Пожалуйста, проэкспортируйте GS_cpld.pof в альтернативные форматы svf и jam.

Хочу воспользоваться svf для заливки cpld через svf player.

Нашел такую инструкцию для конвертации pof в другие форматы:

В одном форуме найдено:
Из техподдержки...
Вы можете сконвертировать .pof в .svf., а также в .jam следущим образом :
1. Открыть программатор Quartus II
2. Кликнуть на "Add File" и выбрать Ваш .pof.
3. Выставить Program/Configure box
4. Перейти в меню File ->. Create JAM, JBC, SVF or ISC File...
5. Создать Ваш SVF или JAM файл.

PS.
Quartus еще долго буду учиться ставить под Gentoo Linux (если у кого-нибудь есть ebuild, поделитесь пожалуйста).
Программатор USB Blaster на PIC18F14K50 (SSOP20) на стадии проектирования печатной платы и покупки деталей и материалов, а NeoGS уже спаяна.
Портов принтера под имеющийся в наличии BlasterMV (zxkit003) у меня нет. Quartus нет, Windows тоже нет.
Недавно научился использовать zxkit008 (на чипе FT232RL) как простейший программатор.
perestoronin
 
Posts: 43
Joined: 25 Nov 2011, 14:27
Location: Москва
Group: Registered users

Postby CHRV » 22 Jan 2012, 12:07

Битте плезир.
Attachments
cpld5.zip
(13.36 KB) Downloaded 1114 times
Многое есть здесь: www.nedopc.com
User avatar
CHRV
Желесяка
 
Posts: 966
Joined: 15 Apr 2007, 22:52
Group: Registered users

Postby perestoronin » 22 Jan 2012, 12:50

Спасибо :)
Сейчас корпус допилю и буду учиться программировать ПЛИС через FT232RL с помощью SVF плеера.
perestoronin
 
Posts: 43
Joined: 25 Nov 2011, 14:27
Location: Москва
Group: Registered users

Postby lvd » 22 Jan 2012, 14:21

perestoronin wrote:Quartus еще долго буду учиться ставить под Gentoo Linux (если у кого-нибудь есть ebuild, поделитесь пожалуйста).

Бугага, гентушник, а как безрукий.
Квартус 7.2 прекрасно ставиццо вендовый под вайн.
Квартус 11.0 ставится и вовсе линуховый с инсталлером. Про креки написано на electronix.ru, патчицо за 1 минуту что тот, что этот.
Многого нет здесь: http://lvd.nedopc.com
Image
User avatar
lvd
 
Posts: 1786
Joined: 07 Apr 2007, 22:28
Group: Registered users

Postby perestoronin » 22 Jan 2012, 16:06

Gentoo я тоже только изучаю :(
Нашел как ставить Quartus (Lnux версия - без Wine), но ebuild для него не обнаружил. А ставить с сайта 3Гб мусора без ebuild как то не хочется. Будет ebuild (или сам как его напишу) обязательно поставлю. Спасибо Роману - выручил.
Last edited by perestoronin on 22 Jan 2012, 20:15, edited 1 time in total.
perestoronin
 
Posts: 43
Joined: 25 Nov 2011, 14:27
Location: Москва
Group: Registered users

Postby lvd » 22 Jan 2012, 16:15

бугага ржунимагу
линуховый квартус ставицо в юзерный каталог куда покажешь. Это тебе не make install
Впрочем, жди :)
Многого нет здесь: http://lvd.nedopc.com
Image
User avatar
lvd
 
Posts: 1786
Joined: 07 Apr 2007, 22:28
Group: Registered users

Postby perestoronin » 28 Jan 2012, 17:13

После приспосабливания zxkit008 к программированию Atmel Mega128 через avrdude из под Gentoo Linux, появилась новая задача - приспособить zxkit008 к программированию ПЛИС и конечно же для начала altera/epm3064a/t100 для NeoGS.
Программа есть - urjtag, есть и пачти к ней. Получившийся ebuild прилагаю.

Если у кого есть успешный опыт по применению zxkit008 (или FT232RL) и urjtag прошу сообщить.

Нашел еще одну программу http://tulip-house.ddo.jp/digital/PROG_CPLD/index.html
и немного освеженный вариант https://bitbucket.org/skoe/easp

Изучаю их, возможно не только мне будет интересно использовать FT232R (150руб) как недопрограмматор, вместо полного программатора, для заливки SVF в cpld Altera emp3064a-t100 на плате neogs через jtag в режиме bitbang.
Нет, конечно можно собрать полноценный копеечный usbblaster по этой схеме http://sa89a.net/mp.cgi/ele/ub.htm, но придется все равно дополнительно потратиться и приобрести PIC18F14K50 (60руб) в корпусе SSOP20.

Не осилил использование альтернативщины для программирования ПЛИС, но попыток не оставляю.

Ниже инструкция для новичков по использованию программатора Quartus на 64-битных Linux с кабелем byteblasterMV:

На данный момент осилил уставновку Quartus под Linux Gentoo в каталог /opt/altera на машине на которой не было портов принтера, поэтому пришлось купить PCI-e мультиплату на NetMOS 9912. Не сразу догадался, не без подсказок, как настроить конфигурацию ядра, чтобы появился порт принтера.
Code: Select all
CONFIG_PARPORT=m
CONFIG_PARPORT_PC=m
CONFIG_PARPORT_SERIAL=m
CONFIG_PARPORT_1284=y
CONFIG_PPDEV=m


Code: Select all
altera "забыла" библиотеки для 64-битных систем...
cd altera/11.1sp1/quartus/
cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so.26
cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so
cp /usr/lib/libicudata.so.48 linux64/libicudata.so.34
cp /usr/lib/libicuuc.so.48.1.1 linux64/libicuuc.so.33


Code: Select all
./jtagconfig --add byteblastermv /dev/parport0


Далее запуск Quartus, запуск autodetect (Quartus увидел обе ПЛИС), затем подцепил в цепочке к нужной ПЛИС файл с прошивкой, выставил галочки программировать и проверить и нажал начать программирование.
ПЛИС в две секунды удачно прошилась.

NeoGS теперь в работе.
Attachments
urjtag.zip
Программирование ПЛИС с помощью конвертера FTDI
(7 KB) Downloaded 1047 times
perestoronin
 
Posts: 43
Joined: 25 Nov 2011, 14:27
Location: Москва
Group: Registered users


Return to NeoGS - софт и железо

Who is online

Users browsing this forum: No registered users and 1 guest